Qhov zoo ntawm Los Ntawm iav Via (TGV) thiab Los Ntawm Silicon Via, TSV (TSV) txheej txheem dhau TGV?

p 1

Qhov zoo ntawmLos ntawm iav Via (TGV)thiab Los ntawm Silicon Via (TSV) cov txheej txheem dhau TGV yog:

(1) zoo heev high-frequency hluav taws xob yam ntxwv. Cov khoom siv iav yog cov khoom siv insulator, qhov dielectric tas li tsuas yog kwv yees li 1/3 ntawm cov khoom siv silicon, thiab qhov poob yog 2-3 qhov kev txiav txim siab qis dua li ntawm cov khoom siv silicon, uas ua rau cov substrate poob thiab cov kab mob parasitic txo qis heev. thiab ua kom muaj kev ncaj ncees ntawm cov teeb liab kis;

(2)loj loj thiab ultra-nyias iav substrateyog ib qho yooj yim kom tau. Corning, Asahi thiab SCHOTT thiab lwm lub tuam txhab iav tuaj yeem muab qhov loj me (> 2m × 2m) thiab ultra-thin (<50µm) vaj huam sib luag iav thiab cov khoom siv iav ultra-nyias.

3) Tus nqi qis. Tau txais txiaj ntsig los ntawm kev nkag tau yooj yim rau cov iav loj loj ultra-nyias vaj huam sib luag, thiab tsis tas yuav tsum muaj cov txheej txheem insulating, cov nqi tsim khoom ntawm iav adapter phaj tsuas yog kwv yees li 1/8 ntawm silicon-based adapter phaj;

4) Cov txheej txheem yooj yim. Tsis tas yuav tso ib txheej insulating rau ntawm lub substrate nto thiab sab hauv phab ntsa ntawm TGV, thiab tsis tas yuav tsum tau thinning nyob rau hauv ultra-thin adapter phaj;

(5) Muaj zog mechanical stability. Txawm tias lub thickness ntawm lub phaj adapter yog tsawg dua 100µm, lub warpage tseem me me;

(6) Kev siv ntau yam, yog ib qho kev sib txuas ntawm cov cuab yeej siv dav dav siv nyob rau hauv thaj tsam ntawm wafer-theem ntim, kom ua tiav qhov kev ncua deb ntawm wafer-wafer, qhov tsawg kawg nkaus ntawm kev sib tshuam muab txoj hauv kev siv tshuab tshiab, nrog cov hluav taws xob zoo heev. , thermal, mechanical zog, nyob rau hauv RF nti, high-end MEMS sensors, high-density system kev koom ua ke thiab lwm qhov chaw nrog tshwj xeeb zoo, yog cov tiam tom ntej ntawm 5G, 6G high-frequency nti 3D Nws yog ib tug ntawm cov thawj kev xaiv rau 3D ntim ntawm tiam tom ntej 5G thiab 6G high-frequency chips.

Cov txheej txheem molding ntawm TGV feem ntau suav nrog sandblasting, ultrasonic drilling, ntub dej etching, sib sib zog nqus reactive ion etching, photosensitive etching, laser etching, laser-induced tob etching, thiab tsom tawm qhov tsim.

p2 ua

Kev tshawb fawb tsis ntev los no thiab kev txhim kho tau pom tias cov cuab yeej tuaj yeem npaj los ntawm qhov thiab 5: 1 qhov muag qhov muag nrog qhov tob rau qhov dav ntawm 20: 1, thiab muaj cov qauv zoo. Laser induced sib sib zog nqus etching, uas ua rau me me nto roughness, yog txoj kev kawm tshaj plaws nyob rau tam sim no. Raws li pom hauv daim duab 1, muaj cov kab nrib pleb nyob ib ncig ntawm lub laser zoo tib yam, thaum lub qhov nyob ib puag ncig thiab sab phab ntsa ntawm laser-induced sib sib zog nqus etching yog huv si thiab du.

p3 uaTxheej txheem txheej txheem ntawmTGVinterposer yog qhia nyob rau hauv daim duab 2. Lub zuag qhia tag nrho yog tho qhov ntawm lub iav substrate ua ntej, thiab tom qab ntawd tso nyiaj barrier txheej thiab noob txheej ntawm sab phab ntsa thiab nto. Cov txheej thaiv txheej tiv thaiv qhov diffusion ntawm Cu mus rau iav substrate, thaum ua kom cov adhesion ntawm ob, ntawm chav kawm, hauv qee qhov kev tshawb fawb kuj pom tias txheej txheej barrier tsis tsim nyog. Tom qab ntawd cov Cu yog tso los ntawm electroplating, ces annealed, thiab Cu txheej raug tshem tawm los ntawm CMP. Thaum kawg, RDL rewiring txheej yog npaj los ntawm PVD txheej lithography, thiab txheej txheej passivation yog tsim tom qab cov kua nplaum raug tshem tawm.

p4 ua

(a) Kev npaj ntawm wafer, (b) tsim TGV, (c) ob-sided electroplating - deposition ntawm tooj liab, (d) annealing thiab CMP tshuaj-mechanical polishing, tshem tawm ntawm nto tooj liab txheej, (e) PVD txheej thiab lithography , (f) qhov chaw ntawm RDL rewiring txheej, (g) degluing thiab Cu/Ti etching, (h) tsim ntawm passivation txheej.

Los xaus,iav los ntawm qhov (TGV)daim ntawv thov kev cia siab yog dav, thiab tam sim no kev lag luam hauv tsev yog nyob rau theem nce, los ntawm cov khoom siv rau cov khoom tsim thiab kev tshawb fawb thiab kev loj hlob ntawm tus nqi siab tshaj qhov nruab nrab ntawm lub ntiaj teb.

Yog tias muaj kev ua txhaum cai, hu rau rho tawm


Post lub sij hawm: Lub Xya hli ntuj-16-2024